Cloud EDA Tools Fuel Semiconductor Design Innovation 

Sandeep Mehndiratta, Sridhar Panchapakesan, Teng-Kiat Lee

Jun 28, 2021 / 6 min read

While applications as varied as payment processing, business process/collaboration, and big data analytics all rely on cloud computing technologies, the chip design industry has been slower to move to adoption. Until now, the realization of the true benefits for chip design in the cloud were, well, somewhat cloudy.

Today, it’s clear that innovative, cloud-oriented electronic design automation (EDA) solutions are just what a semiconductor industry that is defined by exacting quality requirements, demanding time-to-market targets, and sky-high costs needs to address these challenges—and to thrive. In this blog post, we’ll take a closer look at how utilizing the public cloud for semiconductor design and verification can help fuel innovation as the benefits of Moore’s law begin to wane.

This is the start of a series of posts that our cloud experts will share in the coming months. EDA in the cloud is clearly more than a trend; it is a way forward for an industry that is grappling with exploding computational needs along with a continued push to reduce cycle times for design and verification. In upcoming posts, our experts will examine various aspects of innovations at Synopsys to optimize cloud-based use models.

Cloud-Based EDA Tools

What’s Driving Chip Designers to the Cloud?

Even a few years ago, sentiment on the role of the cloud in silicon development was mixed. After all, Moore’s law had guided the industry through more than 50 years of growth and innovation. However, as Accenture notes in its report, “Driving Semiconductor Growth Through As-a-Service Models,” the pace of Moore’s law is slowing while “chip development costs have skyrocketed, competition is coming from non-traditional places, and customers are demanding exponentially more power and functionality to support exciting new applications such as the Internet of Things (IoT), artificial intelligence (AI), and, soon, quantum computing.”

In a landscape where compute capacity has undoubtedly become a bottleneck for semiconductor houses to complete their designs and bring their products to market faster, cloud computing is naturally becoming a viable option for companies to procure the needed resources for designing and verifying modern SoCs. Critical IC design and verification flows are now available in the cloud. Late last year, Accenture released a report, “The cloud imperative for the semiconductor industry,” arguing that the cloud is “the key to accelerate the pace of innovation for the future” and that mature cloud solutions with enhanced security and automation can provide benefits beyond those of on-site systems.

Let’s take a closer look at the key market drivers that are influencing more chip designers to move to the cloud, and how cloud-based solutions can help fuel innovation.

Faster Time to Results

As chips continue to become more complex and larger, chip design and verification resources are becoming a bottleneck in the face of increasing time-to-market pressures. At the same time, engineering workloads are growing, with engineers consistently managing more on their plates with fewer resources. Compared to running EDA solutions on an on-premises data center, utilizing the cloud opens up significantly more compute resources to accelerate fundamental chip design and verification processes. There’s also the benefit of elasticity—the ability to quickly scale up or down based on needs.

As an example, let’s consider library characterization, a highly parallelizable task that needs a huge amount of compute resources. Resource planning for library characterization has been notoriously difficult. Pre-cloud computing, for instance, chip design houses invested in their own high-performance data-center capacities for these workloads. But these systems would either be over- or under-utilized based on demand patterns or they’d require sequencing of workloads, causing delays. Cloud computing, on the other hand, can reduce turnaround time (TAT) of tasks such as library characterization from weeks down to days by providing on-demand access to as much compute resources as needed when needed. Amazon Web Services (AWS) customers, for example, have been able to scale their library characterization workloads to more than 120,000 parallel jobs thanks in part to a close collaboration between AWS and Synopsys.

Tasks that are characterized by burst usage periods are ideal for moving to the cloud. Without having to invest in CapEx-heavy infrastructure themselves, designers have the flexibility to tap into compute resources on the fly. If needed, they can also break up their compute-intensive problems into smaller pieces and use cloud-based, massively distributed processing and storage to tackle each piece, provided that the data is partition-friendly. Applications such as timing analysis and physical and functional verification scale very well when distributed. With formal verification, for instance, you can localize the design and perform the verification in independent parts.

Enhanced Quality of Results

To maintain high quality of results (QoR) for advanced-nodes designs, lower power designs with multiple power-domains, and designs that are pushing at reticle limits, verification efforts are exploding at all stages of the design flow. In the real world, when in-house compute resources are not limitless, a design manager is asked to do the impossible: trade off time to market and quality of results. With virtually “unlimited” resources, the cloud provides the heft to perform massive simulation, timing signoff, and physical verification tasks that would strain or crush on-premises compute resources.

Better Cost of Results

Getting products with the best quality possible to market sooner is always the goal, but so is producing chips at the lowest possible cost. Traditional chip design houses may have existing data center investments to support EDA solutions. Even so, to manage costs, they may opt for a hybrid workflow where on-premises compute resources are augmented by cloud resources for burst usage periods. Meanwhile, small startups may find it impractical to be burdened with their own data center costs. In these cases, the cloud provides access to the latest generation compute and storage resources when needed, with the flexibility of a pay-as-you-go model.

The elasticity of the cloud can also contribute to better cost of results. Prices do vary on the cloud, as some cloud vendors allow bidding for compute services, with rates influenced by demand. As cloud vendors develop lower cost compute resources, such as spot instances utilizing excess capacity, they may be able to offer lower pricing. A design company should look for EDA solutions that can take advantage of these opportunities.

Semiconductor design engineers can benefit from the compute resources available from EDA in the cloud solutions.

High Levels of Security and System Uptime

Some of the hesitancy in the semiconductor industry toward moving to the cloud relates to understandable concerns over security and system uptime. The adoption of modern cloud security and cloud-native processes and technologies helps to assure that EDA workloads will run on a secure, monitored cloud infrastructure. To this end, EDA vendors work closely with cloud security vendors to adapt their technologies to protect EDA workloads and prevent data leakage. Applying strong identity and access management capabilities helps to ensure that EDA tool user access is managed appropriately.

Cloud vendors typically operate under a shared responsibility model where security of the cloud (i.e., the data centers) is the vendor’s responsibility while security in the cloud is left to their customers, such as EDA vendors. The EDA industry should have a clear understanding of what this model entails. Are cloud vendors building in security from the ground up in their infrastructures and applications, as well as ensuring operational security? Are EDA vendors utilizing encryption and next-gen monitoring and troubleshooting tools that are adapted to cloud environments?

As for system uptime, cloud providers are building in plenty of redundancy to ensure high availability and resiliency of their compute resources, such as through high availability clusters. EDA applications can be run across such clusters for better reliability and uptime.

Cloud-Ready EDA and IP Portfolio

Backed by cloud partners Microsoft, AWS, and Google Cloud Platform, Synopsys innovates along several technology axes to optimize its leading EDA software. These optimized applications provide the productivity, scalability, security, and flexibility for you to design and verify chips in the cloud and to migrate your software applications to the cloud. With our cloud-ready design, verification, and IP solutions, you can experience the benefits of cloud-based chip design:

  • Faster time to results: our solutions are production proven on major public cloud platforms and endorsed by major semiconductor foundries to work with their libraries and process design kits
  • Enhanced quality of results: our solutions are built to take advantage of the massive scalability and elasticity available on the cloud
  • Better cost of results: our solutions are developed with the resiliency and robustness to take advantage of cost-optimized spot instances on the cloud

In the semiconductor industry, the drive to innovate swiftly and at lower cost is relentless under competitive pressures for high-performing chips with ever richer functionalities. At the same time, innovation among EDA and IP vendors is resulting in cloud-optimized solutions that are up to the effort of supporting sky-high compute demands and reduced cycle times for chip design and verification tasks. In short, as EDA in the cloud continues to become more sophisticated, this, in turn, is accelerating its adoption as an avenue for continued semiconductor innovation. It’s a virtuous cycle that promises good things for the industry as a whole—and the many sectors that rely on advanced silicon chips in our era of Smart Everything.

Continue Reading